Search for contacts, projects,
courses and publications

System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip

Additional information

Authors
Pilato C., Mantovani P., Di Guglielmo G., Carloni L. P.
Type
Journal Article
Year
2017
Language
English
Journal
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Volume
36
Start page number
435
End page number
448
Keywords
algorithm design and analysis, Data structures, hardware, hardware accelerator, High-Level Synthesis, IP networks, Memory Design, Memory management, Multi-bank Architecture, Random access memory