Ricerca di contatti, progetti,
corsi e pubblicazioni

MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures

Informazioni aggiuntive

Autori
Silvano C., Fornaciari W., Palermo G., Zaccaria V., Castro F., Martinez M., Bocchio S., Zafalon R., Avasare P., Vanmeerbeeck G., Ykman-Couvreur C., Wouters M., Kavka C., Onesti L., Turco A., Bondi U., Mariani G., Posadas H., Villar E., Wu S. Y. C., Dongrui F., Hao Z., Shibin T.
Tipo
Contributo in libro
Anno
2011
Lingua
Inglese
Abstract
Given the increasing complexity of Chip Multi-Processors (CMPs), a wide range of architecture parameters must be explored at design time to find the best trade-off in terms of multiple competing objectives (such as energy, delay, bandwidth, area, etc.) The design space of the target architectures is huge because it should consider all possible combinations of each hardware parameter (e.g., number of processors, processor issue width, L1 and L2 cache sizes, etc.). In this complex scenario, intuition and past experience of design architects is no more a sufficient condition to converge to an optimal design of the system. Indeed, Automatic Design Space Exploration (DSE) is needed to systematically support the analysis and quantitative comparison of a large amount of design alternatives in terms of multiple competing objectives (by means of Pareto analysis). The main goal of the MULTICUBE project consists of the definition of an automatic Design Space Exploration framework to support the design of next generation many-core architectures.
Libro
VLSI 2010 Annual Symposium
Volume
105
Editore
Springer
Pagina inizio
47
Pagina fine
63
ISBN
978-94-007-1487-8
Località
Netherlands